Search This Blog

Thursday, July 11, 2013

Simple AND Gate design using VHDL

A Simple AND Gate Design using Logical Expression -




 Program -

-------------------------------------------------------------------------------
--
-- Title       : and_gate
-- Design      : vhdl_test
-- Author      : Naresh Singh Dobal
-- Company     : nsd
--
-------------------------------------------------------------------------------


library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity and_gate is
     port(
         a : in STD_LOGIC;
         b : in STD_LOGIC;
         dout : out STD_LOGIC
         );
end and_gate;

architecture and_gate_arc of and_gate is
begin

    dout <= a and b;

end and_gate_arc;

No comments:

Post a Comment